Skip to content

Commit

Permalink
Merge pull request #1222 from slaclab/clocks-gty+
Browse files Browse the repository at this point in the history
Misc. GT Clock outputs for the GTY+ ETH modules
  • Loading branch information
ruck314 authored Jan 1, 2025
2 parents 60065b6 + 85eb2ad commit 6c8e7e0
Show file tree
Hide file tree
Showing 2 changed files with 4 additions and 1 deletion.
Original file line number Diff line number Diff line change
Expand Up @@ -77,6 +77,7 @@ entity GigEthGtyUltraScaleWrapper is
gtRefClk : in sl := '0';
gtClkP : in sl := '1';
gtClkN : in sl := '0';
gtClkOut : out sl;
-- Copy of internal MMCM reference clock and Reset
refClkOut : out sl;
refRstOut : out sl;
Expand Down Expand Up @@ -122,7 +123,7 @@ begin
IB => gtClkN,
CEB => '0',
ODIV2 => gtClk,
O => open);
O => gtClkOut);

BUFG_GT_Inst : BUFG_GT
port map (
Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -70,6 +70,7 @@ entity XauiGtyUltraScaleWrapper is
-- MGT Clock Port (156.25MHz or 312.5MHz)
gtClkP : in sl;
gtClkN : in sl;
gtClkOut : out sl;
-- MGT Ports
gtTxP : out slv(3 downto 0);
gtTxN : out slv(3 downto 0);
Expand All @@ -88,6 +89,7 @@ architecture mapping of XauiGtyUltraScaleWrapper is
begin

phyReady <= linkUp;
gtClkOut <= refClk;

U_refClk : IBUFDS_GTE4
port map (
Expand Down

0 comments on commit 6c8e7e0

Please sign in to comment.