Skip to content

Commit 31f6f41

Browse files
committed
docs: Add description of old MISC signals between Top-Level and FPGA_COMMON
1 parent 64452c1 commit 31f6f41

File tree

1 file changed

+4
-0
lines changed

1 file changed

+4
-0
lines changed

core/top/fpga_common.vhd

Lines changed: 4 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -108,7 +108,9 @@ generic (
108108
AMM_FREQ_KHZ : natural := 0;
109109

110110
STATUS_LEDS : natural := 2;
111+
-- Width of MISC signal between Top-Level FPGA design and FPGA_COMMON
111112
MISC_IN_WIDTH : natural := 0;
113+
-- Width of MISC signal between FPGA_COMMON and Top-Level FPGA design
112114
MISC_OUT_WIDTH : natural := 0;
113115
-- Width of MISC signal between Top-Level FPGA design and APP core logic
114116
MISC_TOP2APP_WIDTH : natural := 1;
@@ -271,7 +273,9 @@ port (
271273
MISC_TOP2NET : in slv_array_t(ETH_PORTS-1 downto 0)(MISC_TOP2NET_WIDTH-1 downto 0) := (others => (others => '0'));
272274
-- Optional signal for MISC connection from NET_MOD core to Top-Level FPGA design.
273275
MISC_NET2TOP : out slv_array_t(ETH_PORTS-1 downto 0)(MISC_NET2TOP_WIDTH-1 downto 0);
276+
-- Optional signal for MISC connection from Top-Level FPGA design to FPGA_COMMON.
274277
MISC_IN : in std_logic_vector(MISC_IN_WIDTH-1 downto 0) := (others => '0');
278+
-- Optional signal for MISC connection from FPGA_COMMON to Top-Level FPGA design.
275279
MISC_OUT : out std_logic_vector(MISC_OUT_WIDTH-1 downto 0)
276280
);
277281
end entity;

0 commit comments

Comments
 (0)