Skip to content

$mul not being converted correctly #146

@nachiket

Description

@nachiket

Here's a simple example of a Verilog file I'm trying to translate to SVG.

module mult (
  input logic [7:0] a,
  input logic [7:0] b,
  input logic [7:0] c,
  output logic [7:0] y
  );

always_comb begin
  y = a*b + c;
end

endmodule

When I compile it with Verilog

yosys -p "read_verilog -sv mult.sv; proc; opt; write_json temp.json"; netlistsvg temp.json -o temp.svg; cairosvg temp.svg -o mult.pdf

I get the following result with $mul staying as a block instead of the multiply symbol.

Image

What am I missing?

Thakns
Nachiket

Metadata

Metadata

Assignees

No one assigned

    Labels

    No labels
    No labels

    Projects

    No projects

    Milestone

    No milestone

    Relationships

    None yet

    Development

    No branches or pull requests

    Issue actions