|
| 1 | +set_property IOSTANDARD LVCMOS33 [get_ports in0] |
| 2 | +set_property IOSTANDARD LVCMOS33 [get_ports in1] |
| 3 | +set_property IOSTANDARD LVCMOS33 [get_ports in10] |
| 4 | +set_property IOSTANDARD LVCMOS33 [get_ports in11] |
| 5 | +set_property IOSTANDARD LVCMOS33 [get_ports in12] |
| 6 | +set_property IOSTANDARD LVCMOS33 [get_ports in13] |
| 7 | +set_property IOSTANDARD LVCMOS33 [get_ports in14] |
| 8 | +set_property IOSTANDARD LVCMOS33 [get_ports in15] |
| 9 | +set_property IOSTANDARD LVCMOS33 [get_ports in2] |
| 10 | +set_property IOSTANDARD LVCMOS33 [get_ports in3] |
| 11 | +set_property IOSTANDARD LVCMOS33 [get_ports in4] |
| 12 | +set_property IOSTANDARD LVCMOS33 [get_ports in5] |
| 13 | +set_property IOSTANDARD LVCMOS33 [get_ports in6] |
| 14 | +set_property IOSTANDARD LVCMOS33 [get_ports in7] |
| 15 | +set_property IOSTANDARD LVCMOS33 [get_ports in8] |
| 16 | +set_property IOSTANDARD LVCMOS33 [get_ports in9] |
| 17 | +set_property IOSTANDARD LVCMOS33 [get_ports out0] |
| 18 | +set_property IOSTANDARD LVCMOS33 [get_ports out1] |
| 19 | +set_property IOSTANDARD LVCMOS33 [get_ports out10] |
| 20 | +set_property IOSTANDARD LVCMOS33 [get_ports out11] |
| 21 | +set_property IOSTANDARD LVCMOS33 [get_ports out12] |
| 22 | +set_property IOSTANDARD LVCMOS33 [get_ports out13] |
| 23 | +set_property IOSTANDARD LVCMOS33 [get_ports out14] |
| 24 | +set_property IOSTANDARD LVCMOS33 [get_ports out15] |
| 25 | +set_property IOSTANDARD LVCMOS33 [get_ports out2] |
| 26 | +set_property IOSTANDARD LVCMOS33 [get_ports out3] |
| 27 | +set_property IOSTANDARD LVCMOS33 [get_ports out4] |
| 28 | +set_property IOSTANDARD LVCMOS33 [get_ports out5] |
| 29 | +set_property IOSTANDARD LVCMOS33 [get_ports out6] |
| 30 | +set_property IOSTANDARD LVCMOS33 [get_ports out7] |
| 31 | +set_property IOSTANDARD LVCMOS33 [get_ports out8] |
| 32 | +set_property IOSTANDARD LVCMOS33 [get_ports out9] |
| 33 | + |
| 34 | +set_property PACKAGE_PIN N15 [get_ports in0] |
| 35 | +set_property PACKAGE_PIN R17 [get_ports in1] |
| 36 | +set_property PACKAGE_PIN P16 [get_ports in10] |
| 37 | +set_property PACKAGE_PIN N14 [get_ports in11] |
| 38 | +set_property PACKAGE_PIN N13 [get_ports in12] |
| 39 | +set_property PACKAGE_PIN R16 [get_ports in13] |
| 40 | +set_property PACKAGE_PIN P15 [get_ports in14] |
| 41 | +set_property PACKAGE_PIN P17 [get_ports in15] |
| 42 | +set_property PACKAGE_PIN N17 [get_ports in2] |
| 43 | +set_property PACKAGE_PIN T18 [get_ports in3] |
| 44 | +set_property PACKAGE_PIN R18 [get_ports in4] |
| 45 | +set_property PACKAGE_PIN R14 [get_ports in5] |
| 46 | +set_property PACKAGE_PIN P14 [get_ports in6] |
| 47 | +set_property PACKAGE_PIN U18 [get_ports in7] |
| 48 | +set_property PACKAGE_PIN U17 [get_ports in8] |
| 49 | +set_property PACKAGE_PIN AB18 [get_ports in9] |
| 50 | +set_property PACKAGE_PIN AA18 [get_ports out0] |
| 51 | +set_property PACKAGE_PIN W17 [get_ports out1] |
| 52 | +set_property PACKAGE_PIN V17 [get_ports out10] |
| 53 | +set_property PACKAGE_PIN AB20 [get_ports out11] |
| 54 | +set_property PACKAGE_PIN AA19 [get_ports out12] |
| 55 | +set_property PACKAGE_PIN V19 [get_ports out13] |
| 56 | +set_property PACKAGE_PIN V18 [get_ports out14] |
| 57 | +set_property PACKAGE_PIN Y19 [get_ports out15] |
| 58 | +set_property PACKAGE_PIN Y18 [get_ports out2] |
| 59 | +set_property PACKAGE_PIN W20 [get_ports out3] |
| 60 | +set_property PACKAGE_PIN W19 [get_ports out4] |
| 61 | +set_property PACKAGE_PIN V20 [get_ports out5] |
| 62 | +set_property PACKAGE_PIN U20 [get_ports out6] |
| 63 | +set_property PACKAGE_PIN AB22 [get_ports out7] |
| 64 | +set_property PACKAGE_PIN AB21 [get_ports out8] |
| 65 | +set_property PACKAGE_PIN Y22 [get_ports out9] |
| 66 | + |
| 67 | +set_property ALLOW_COMBINATORIAL_LOOPS TRUE [get_nets *] |
0 commit comments