We read every piece of feedback, and take your input very seriously.
To see all available qualifiers, see our documentation.
1 parent a5ae32a commit 6bff3b8Copy full SHA for 6bff3b8
vhdl_lang/src/analysis/tests/association_formal.rs
@@ -785,3 +785,41 @@ end architecture;
785
);
786
check_no_diagnostics(&builder.analyze());
787
}
788
+
789
+#[test]
790
+#[ignore]
791
+fn generic_type_from_port_in_map() {
792
+ let mut builder = LibraryBuilder::new();
793
+ builder.code(
794
+ "libname",
795
+ "
796
+entity coyote_top is
797
+ generic (
798
+ type t
799
+ );
800
+ port (
801
+ clk: in t
802
803
+end entity coyote_top;
804
805
+architecture rtl of coyote_top is
806
+begin
807
+end architecture;
808
809
+entity te is
810
+end entity te;
811
812
+architecture rtl of te is
813
814
+ coyote_top_inst: entity work.coyote_top
815
+ generic map(
816
+ t => bit
817
+ )
818
+ port map(
819
+ clk => '1'
820
821
822
+ ",
823
824
+ check_no_diagnostics(&builder.analyze());
825
+}
0 commit comments