Skip to content

Commit ba5dd24

Browse files
committed
All setup_waveform lines are commented out.
1 parent 24574b6 commit ba5dd24

File tree

88 files changed

+314
-484
lines changed

Some content is hidden

Large Commits have some content hidden by default. Use the searchbox below for content that may be hidden.

88 files changed

+314
-484
lines changed

tests/core/submodule_/get/submodule_get.py

Lines changed: 1 addition & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -89,7 +89,7 @@ def mkTest():
8989
clk = uut['CLK']
9090
rst = uut['RST']
9191

92-
simulation.setup_waveform(m, uut, m.get_vars())
92+
# simulation.setup_waveform(m, uut, m.get_vars())
9393
simulation.setup_clock(m, clk, hperiod=5)
9494
init = simulation.setup_reset(m, rst, m.make_reset(), period=100)
9595

tests/core/submodule_/get/test_submodule_get.py

Lines changed: 0 additions & 6 deletions
Original file line numberDiff line numberDiff line change
@@ -28,12 +28,6 @@
2828
);
2929
3030
31-
initial begin
32-
$dumpfile("uut.vcd");
33-
$dumpvars(0, top, top_CLK, top_RST, top_LED, top_inst_blinkled_dummy_out0, top_inst_blinkled_dummy_in0);
34-
end
35-
36-
3731
initial begin
3832
top_CLK = 0;
3933
forever begin

tests/core/submodule_/getattr/submodule_getattr.py

Lines changed: 1 addition & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -82,7 +82,7 @@ def mkTest():
8282
clk = uut.CLK
8383
rst = uut.RST
8484

85-
simulation.setup_waveform(m, uut, m.get_vars())
85+
# simulation.setup_waveform(m, uut, m.get_vars())
8686
simulation.setup_clock(m, clk, hperiod=5)
8787
init = simulation.setup_reset(m, rst, m.make_reset(), period=100)
8888

tests/core/submodule_/getattr/test_submodule_getattr.py

Lines changed: 0 additions & 6 deletions
Original file line numberDiff line numberDiff line change
@@ -28,12 +28,6 @@
2828
);
2929
3030
31-
initial begin
32-
$dumpfile("uut.vcd");
33-
$dumpvars(0, top, top_CLK, top_RST, top_LED, top_inst_blinkled_dummy_out0, top_inst_blinkled_dummy_in0);
34-
end
35-
36-
3731
initial begin
3832
top_CLK = 0;
3933
forever begin

tests/core/submodule_/sim/submodule_sim.py

Lines changed: 1 addition & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -81,7 +81,7 @@ def mkTest():
8181
clk = uut['CLK']
8282
rst = uut['RST']
8383

84-
simulation.setup_waveform(m, uut, m.get_vars())
84+
# simulation.setup_waveform(m, uut, m.get_vars())
8585
simulation.setup_clock(m, clk, hperiod=5)
8686
init = simulation.setup_reset(m, rst, m.make_reset(), period=100)
8787

tests/core/submodule_/sim/test_submodule_sim.py

Lines changed: 0 additions & 6 deletions
Original file line numberDiff line numberDiff line change
@@ -25,12 +25,6 @@
2525
);
2626
2727
28-
initial begin
29-
$dumpfile("uut.vcd");
30-
$dumpvars(0, top, top_CLK, top_RST, top_LED, top_inst_blinkled_dummy_out0, top_inst_blinkled_dummy_in0);
31-
end
32-
33-
3428
initial begin
3529
top_CLK = 0;
3630
forever begin

tests/extension/fsm_/as_module_delayed/fsm_as_module_delayed.py

Lines changed: 1 addition & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -54,7 +54,7 @@ def mkTest():
5454
uut = m.Instance(mkLed(), 'uut',
5555
ports=(('CLK', clk), ('RST', rst), ('valid', valid)))
5656

57-
simulation.setup_waveform(m, uut)
57+
# simulation.setup_waveform(m, uut)
5858
simulation.setup_clock(m, clk, hperiod=5)
5959
init = simulation.setup_reset(m, rst, period=100)
6060

tests/extension/fsm_/as_module_delayed/test_fsm_as_module_delayed.py

Lines changed: 0 additions & 6 deletions
Original file line numberDiff line numberDiff line change
@@ -19,12 +19,6 @@
1919
);
2020
2121
22-
initial begin
23-
$dumpfile("uut.vcd");
24-
$dumpvars(0, uut);
25-
end
26-
27-
2822
initial begin
2923
CLK = 0;
3024
forever begin

tests/extension/fsm_/delayed/fsm_delayed.py

Lines changed: 10 additions & 6 deletions
Original file line numberDiff line numberDiff line change
@@ -4,18 +4,20 @@
44
import os
55

66
# the next line can be removed after installation
7-
sys.path.insert(0, os.path.dirname(os.path.dirname(os.path.dirname(os.path.dirname(os.path.dirname(os.path.abspath(__file__)))))))
7+
sys.path.insert(0, os.path.dirname(os.path.dirname(os.path.dirname(
8+
os.path.dirname(os.path.dirname(os.path.abspath(__file__)))))))
89

910
from veriloggen import *
1011

12+
1113
def mkLed():
1214
m = Module('blinkled')
1315
clk = m.Input('CLK')
1416
rst = m.Input('RST')
1517
valid = m.OutputReg('valid', initval=0)
1618

1719
fsm = FSM(m, 'fsm', clk, rst)
18-
20+
1921
for i in range(2):
2022
fsm.goto_next()
2123

@@ -26,7 +28,7 @@ def mkLed():
2628
fsm.Delay(1)(
2729
valid(0)
2830
)
29-
31+
3032
for i in range(4):
3133
fsm.goto_next()
3234

@@ -39,11 +41,12 @@ def mkLed():
3941
valid(0)
4042
)
4143
fsm.goto_next()
42-
44+
4345
fsm.make_always()
4446

4547
return m
4648

49+
4750
def mkTest():
4851
m = Module('test')
4952
clk = m.Reg('CLK')
@@ -53,7 +56,7 @@ def mkTest():
5356
uut = m.Instance(mkLed(), 'uut',
5457
ports=(('CLK', clk), ('RST', rst), ('valid', valid)))
5558

56-
simulation.setup_waveform(m, uut)
59+
# simulation.setup_waveform(m, uut)
5760
simulation.setup_clock(m, clk, hperiod=5)
5861
init = simulation.setup_reset(m, rst, period=100)
5962

@@ -63,7 +66,8 @@ def mkTest():
6366
)
6467

6568
return m
66-
69+
70+
6771
if __name__ == '__main__':
6872
test = mkTest()
6973
verilog = test.to_verilog('tmp.v')

tests/extension/fsm_/delayed/test_fsm_delayed.py

Lines changed: 1 addition & 6 deletions
Original file line numberDiff line numberDiff line change
@@ -19,12 +19,6 @@
1919
);
2020
2121
22-
initial begin
23-
$dumpfile("uut.vcd");
24-
$dumpvars(0, uut);
25-
end
26-
27-
2822
initial begin
2923
CLK = 0;
3024
forever begin
@@ -162,6 +156,7 @@
162156
endmodule
163157
"""
164158

159+
165160
def test():
166161
veriloggen.reset()
167162
test_module = fsm_delayed.mkTest()

tests/extension/fsm_/delayed_cond/fsm_delayed_cond.py

Lines changed: 12 additions & 8 deletions
Original file line numberDiff line numberDiff line change
@@ -4,19 +4,21 @@
44
import os
55

66
# the next line can be removed after installation
7-
sys.path.insert(0, os.path.dirname(os.path.dirname(os.path.dirname(os.path.dirname(os.path.dirname(os.path.abspath(__file__)))))))
7+
sys.path.insert(0, os.path.dirname(os.path.dirname(os.path.dirname(
8+
os.path.dirname(os.path.dirname(os.path.abspath(__file__)))))))
89

910
from veriloggen import *
1011

12+
1113
def mkLed():
1214
m = Module('blinkled')
1315
clk = m.Input('CLK')
1416
rst = m.Input('RST')
1517
valid = m.OutputReg('valid', initval=0)
1618
count = m.Reg('count', width=32, initval=0)
17-
19+
1820
fsm = FSM(m, 'fsm', clk, rst)
19-
21+
2022
for i in range(4):
2123
fsm.goto_next()
2224

@@ -32,7 +34,7 @@ def mkLed():
3234
valid(0)
3335
)
3436
fsm.If(c).goto_next()
35-
37+
3638
for i in range(8):
3739
fsm.goto_next()
3840

@@ -50,10 +52,11 @@ def mkLed():
5052
)
5153
fsm.If(c).goto_next()
5254

53-
fsm.make_always(reset=[count.reset()], body=[count(count+1)])
54-
55+
fsm.make_always(reset=[count.reset()], body=[count(count + 1)])
56+
5557
return m
5658

59+
5760
def mkTest():
5861
m = Module('test')
5962
clk = m.Reg('CLK')
@@ -63,7 +66,7 @@ def mkTest():
6366
uut = m.Instance(mkLed(), 'uut',
6467
ports=(('CLK', clk), ('RST', rst), ('valid', valid)))
6568

66-
simulation.setup_waveform(m, uut)
69+
# simulation.setup_waveform(m, uut)
6770
simulation.setup_clock(m, clk, hperiod=5)
6871
init = simulation.setup_reset(m, rst, period=100)
6972

@@ -73,7 +76,8 @@ def mkTest():
7376
)
7477

7578
return m
76-
79+
80+
7781
if __name__ == '__main__':
7882
test = mkTest()
7983
verilog = test.to_verilog('tmp.v')

tests/extension/fsm_/delayed_cond/test_fsm_delayed_cond.py

Lines changed: 0 additions & 6 deletions
Original file line numberDiff line numberDiff line change
@@ -19,12 +19,6 @@
1919
);
2020
2121
22-
initial begin
23-
$dumpfile("uut.vcd");
24-
$dumpvars(0, uut);
25-
end
26-
27-
2822
initial begin
2923
CLK = 0;
3024
forever begin

tests/extension/fsm_/delayed_eager_val/fsm_delayed_eager_val.py

Lines changed: 14 additions & 10 deletions
Original file line numberDiff line numberDiff line change
@@ -4,27 +4,29 @@
44
import os
55

66
# the next line can be removed after installation
7-
sys.path.insert(0, os.path.dirname(os.path.dirname(os.path.dirname(os.path.dirname(os.path.dirname(os.path.abspath(__file__)))))))
7+
sys.path.insert(0, os.path.dirname(os.path.dirname(os.path.dirname(
8+
os.path.dirname(os.path.dirname(os.path.abspath(__file__)))))))
89

910
from veriloggen import *
1011

12+
1113
def mkLed():
1214
m = Module('blinkled')
1315
clk = m.Input('CLK')
1416
rst = m.Input('RST')
1517
valid = m.Output('valid')
1618
count = m.Reg('count', width=32, initval=0)
17-
19+
1820
valid_reg = m.Reg('valid_reg', 8, initval=0)
1921
m.Assign(valid(valid_reg[0]))
20-
22+
2123
up = m.Wire('up')
2224
down = m.Wire('down')
2325
m.Assign(up(1))
2426
m.Assign(down(0))
25-
27+
2628
fsm = FSM(m, 'fsm', clk, rst)
27-
29+
2830
for i in range(4):
2931
fsm.goto_next()
3032

@@ -40,7 +42,7 @@ def mkLed():
4042
valid_reg(down)
4143
)
4244
fsm.If(c).goto_next()
43-
45+
4446
for i in range(8):
4547
fsm.goto_next()
4648

@@ -57,11 +59,12 @@ def mkLed():
5759
valid_reg(down)
5860
)
5961
fsm.If(c).goto_next()
60-
61-
fsm.make_always(reset=[count.reset()], body=[count(count+1)])
62+
63+
fsm.make_always(reset=[count.reset()], body=[count(count + 1)])
6264

6365
return m
6466

67+
6568
def mkTest():
6669
m = Module('test')
6770
clk = m.Reg('CLK')
@@ -71,7 +74,7 @@ def mkTest():
7174
uut = m.Instance(mkLed(), 'uut',
7275
ports=(('CLK', clk), ('RST', rst), ('valid', valid)))
7376

74-
simulation.setup_waveform(m, uut)
77+
# simulation.setup_waveform(m, uut)
7578
simulation.setup_clock(m, clk, hperiod=5)
7679
init = simulation.setup_reset(m, rst, period=100)
7780

@@ -81,7 +84,8 @@ def mkTest():
8184
)
8285

8386
return m
84-
87+
88+
8589
if __name__ == '__main__':
8690
test = mkTest()
8791
verilog = test.to_verilog('tmp.v')

tests/extension/fsm_/delayed_eager_val/test_fsm_delayed_eager_val.py

Lines changed: 0 additions & 6 deletions
Original file line numberDiff line numberDiff line change
@@ -19,12 +19,6 @@
1919
);
2020
2121
22-
initial begin
23-
$dumpfile("uut.vcd");
24-
$dumpvars(0, uut);
25-
end
26-
27-
2822
initial begin
2923
CLK = 0;
3024
forever begin

0 commit comments

Comments
 (0)