Skip to content

Commit 5921d2e

Browse files
committed
feat: Add MISC signals between Top-Level and APP core
1 parent aa7c069 commit 5921d2e

File tree

2 files changed

+30
-3
lines changed

2 files changed

+30
-3
lines changed

core/comp/app/application_ent.vhd

Lines changed: 13 additions & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -95,6 +95,10 @@ generic (
9595
FPGA_ID_WIDTH : natural := 64;
9696
-- Width of reset signals
9797
RESET_WIDTH : integer := 2;
98+
-- Width of MISC signal between Top-Level FPGA design and APP core logic
99+
MISC_TOP2APP_WIDTH : natural := 1;
100+
-- Width of MISC signal between APP core logic and Top-Level FPGA design
101+
MISC_APP2TOP_WIDTH : natural := 1;
98102
-- Name of FPGA board
99103
BOARD : string;
100104
-- Name of FPGA device
@@ -433,6 +437,14 @@ port (
433437
-- MI bus: data from slave to master (read data)
434438
MI_DRD : out std_logic_vector(MI_DATA_WIDTH-1 downto 0);
435439
-- MI bus: valid of MI_DRD data signal
436-
MI_DRDY : out std_logic
440+
MI_DRDY : out std_logic;
441+
442+
-- =========================================================================
443+
-- MISC SIGNALS (the clock signal is not defined)
444+
-- =========================================================================
445+
-- Optional signal for MISC connection from Top-Level FPGA design to APP core.
446+
MISC_TOP2APP : in std_logic_vector(MISC_TOP2APP_WIDTH-1 downto 0);
447+
-- Optional signal for MISC connection from APP core to Top-Level FPGA design.
448+
MISC_APP2TOP : out std_logic_vector(MISC_APP2TOP_WIDTH-1 downto 0) := (others => '0')
437449
);
438450
end entity;

core/top/fpga_common.vhd

Lines changed: 17 additions & 2 deletions
Original file line numberDiff line numberDiff line change
@@ -110,6 +110,10 @@ generic (
110110
STATUS_LEDS : natural := 2;
111111
MISC_IN_WIDTH : natural := 0;
112112
MISC_OUT_WIDTH : natural := 0;
113+
-- Width of MISC signal between Top-Level FPGA design and APP core logic
114+
MISC_TOP2APP_WIDTH : natural := 1;
115+
-- Width of MISC signal between APP core logic and Top-Level FPGA design
116+
MISC_APP2TOP_WIDTH : natural := 1;
113117

114118
DEVICE : string := "AGILEX";
115119
BOARD : string := "400G1"
@@ -244,7 +248,13 @@ port (
244248
BOOT_MI_ARDY : in std_logic := '0';
245249
BOOT_MI_DRDY : in std_logic := '0';
246250

247-
-- Misc interface, board specific
251+
-- =========================================================================
252+
-- MISC SIGNALS (the clock signal is not defined)
253+
-- =========================================================================
254+
-- Optional signal for MISC connection from Top-Level FPGA design to APP core.
255+
MISC_TOP2APP : in std_logic_vector(MISC_TOP2APP_WIDTH-1 downto 0) := (others => '0');
256+
-- Optional signal for MISC connection from APP core to Top-Level FPGA design.
257+
MISC_APP2TOP : out std_logic_vector(MISC_APP2TOP_WIDTH-1 downto 0);
248258
MISC_IN : in std_logic_vector(MISC_IN_WIDTH-1 downto 0) := (others => '0');
249259
MISC_OUT : out std_logic_vector(MISC_OUT_WIDTH-1 downto 0)
250260
);
@@ -1293,6 +1303,8 @@ begin
12931303
MI_ADDR_WIDTH => MI_ADDR_WIDTH,
12941304
FPGA_ID_WIDTH => FPGA_ID_WIDTH,
12951305
RESET_WIDTH => RESET_WIDTH,
1306+
MISC_TOP2APP_WIDTH => MISC_TOP2APP_WIDTH,
1307+
MISC_APP2TOP_WIDTH => MISC_APP2TOP_WIDTH,
12961308
BOARD => BOARD,
12971309
DEVICE => DEVICE
12981310
)
@@ -1466,7 +1478,10 @@ begin
14661478
MI_WR => mi_adc_wr(MI_ADC_PORT_USERAPP),
14671479
MI_DRD => mi_adc_drd(MI_ADC_PORT_USERAPP),
14681480
MI_ARDY => mi_adc_ardy(MI_ADC_PORT_USERAPP),
1469-
MI_DRDY => mi_adc_drdy(MI_ADC_PORT_USERAPP)
1481+
MI_DRDY => mi_adc_drdy(MI_ADC_PORT_USERAPP),
1482+
1483+
MISC_TOP2APP => MISC_TOP2APP,
1484+
MISC_APP2TOP => MISC_APP2TOP
14701485
);
14711486

14721487
-- =========================================================================

0 commit comments

Comments
 (0)