We read every piece of feedback, and take your input very seriously.
To see all available qualifiers, see our documentation.
There was an error while loading. Please reload this page.
1 parent cf4d634 commit 038bc44Copy full SHA for 038bc44
comp/uvm/logic_vector_array/sequencer.sv
@@ -10,7 +10,7 @@
10
11
12
class sequencer #(int unsigned ITEM_WIDTH) extends uvm_sequencer #(sequence_item #(ITEM_WIDTH));
13
- `uvm_component_utils(uvm_logic_vector_array::sequencer #(ITEM_WIDTH))
+ `uvm_component_param_utils(uvm_logic_vector_array::sequencer #(ITEM_WIDTH))
14
15
uvm_reset::sync_terminate reset_sync;
16
0 commit comments