Skip to content

Commit 038bc44

Browse files
committed
UVM LOGIC_VECTOR_ARRAY SEQUENCER [BUGFIX]: make the DB registration macro parameterized
1 parent cf4d634 commit 038bc44

File tree

1 file changed

+1
-1
lines changed

1 file changed

+1
-1
lines changed

comp/uvm/logic_vector_array/sequencer.sv

Lines changed: 1 addition & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -10,7 +10,7 @@
1010

1111

1212
class sequencer #(int unsigned ITEM_WIDTH) extends uvm_sequencer #(sequence_item #(ITEM_WIDTH));
13-
`uvm_component_utils(uvm_logic_vector_array::sequencer #(ITEM_WIDTH))
13+
`uvm_component_param_utils(uvm_logic_vector_array::sequencer #(ITEM_WIDTH))
1414

1515
uvm_reset::sync_terminate reset_sync;
1616

0 commit comments

Comments
 (0)